dtrig Project Status (05/21/2015 - 19:10:26)
Project File: dtrig.xise Parser Errors: No Errors
Module Name: dtrig Implementation State: Placed and Routed
Target Device: xc7a100t-3csg324
  • Errors:
No Errors
Product Version:ISE 14.7
  • Warnings:
6 Warnings (6 new)
Design Goal: Balanced
  • Routing Results:
All Signals Completely Routed
Design Strategy: Xilinx Default (unlocked)
  • Timing Constraints:
All Constraints Met
Environment: System Settings
  • Final Timing Score:
0  (Timing Report)
 
Device Utilization Summary [-]
Slice Logic UtilizationUsedAvailableUtilizationNote(s)
Number of Slice Registers 0 126,800 0%  
Number of Slice LUTs 0 63,400 0%  
Number of occupied Slices 0 15,850 0%  
Number of LUT Flip Flop pairs used 0      
Number of bonded IOBs 4 210 1%  
    IOB Flip Flops 1      
Number of RAMB36E1/FIFO36E1s 0 135 0%  
Number of RAMB18E1/FIFO18E1s 0 270 0%  
Number of BUFG/BUFGCTRLs 1 32 3%  
    Number used as BUFGs 1      
    Number used as BUFGCTRLs 0      
Number of IDELAYE2/IDELAYE2_FINEDELAYs 0 300 0%  
Number of ILOGICE2/ILOGICE3/ISERDESE2s 1 300 1%  
    Number used as ILOGICE2s 1      
Number used as    ILOGICE3s 0      
    Number used as ISERDESE2s 0      
Number of ODELAYE2/ODELAYE2_FINEDELAYs 0      
Number of OLOGICE2/OLOGICE3/OSERDESE2s 0 300 0%  
Number of PHASER_IN/PHASER_IN_PHYs 0 24 0%  
Number of PHASER_OUT/PHASER_OUT_PHYs 0 24 0%  
Number of BSCANs 0 4 0%  
Number of BUFHCEs 0 96 0%  
Number of BUFRs 0 24 0%  
Number of CAPTUREs 0 1 0%  
Number of DNA_PORTs 0 1 0%  
Number of DSP48E1s 0 240 0%  
Number of EFUSE_USRs 0 1 0%  
Number of FRAME_ECCs 0 1 0%  
Number of IBUFDS_GTE2s 0 4 0%  
Number of ICAPs 0 2 0%  
Number of IDELAYCTRLs 0 6 0%  
Number of IN_FIFOs 0 24 0%  
Number of MMCME2_ADVs 0 6 0%  
Number of OUT_FIFOs 0 24 0%  
Number of PCIE_2_1s 0 1 0%  
Number of PHASER_REFs 0 6 0%  
Number of PHY_CONTROLs 0 6 0%  
Number of PLLE2_ADVs 0 6 0%  
Number of STARTUPs 0 1 0%  
Number of XADCs 0 1 0%  
Average Fanout of Non-Clock Nets 0.75      
 
Performance Summary [-]
Final Timing Score: 0 (Setup: 0, Hold: 0) Pinout Data: Pinout Report
Routing Results: All Signals Completely Routed Clock Data: Clock Report
Timing Constraints: All Constraints Met    
 
Detailed Reports [-]
Report NameStatusGenerated ErrorsWarningsInfos
Synthesis ReportCurrentThu May 21 19:08:33 2015000
Translation ReportCurrentThu May 21 19:08:44 2015000
Map ReportCurrentThu May 21 19:09:22 201506 Warnings (6 new)5 Infos (5 new)
Place and Route ReportCurrentThu May 21 19:09:49 2015002 Infos (2 new)
Power Report     
Post-PAR Static Timing ReportCurrentThu May 21 19:10:07 2015004 Infos (4 new)
Bitgen Report     
 
Secondary Reports [-]
Report NameStatusGenerated
ISIM Simulator LogOut of DateThu May 21 19:12:59 2015
Post-Place and Route Simulation Model ReportOut of DateThu May 21 19:10:25 2015

Date Generated: 05/21/2015 - 19:14:04