wait for 20ns; for i in 0 to 3 loop C <= std_logic_vector( to_unsigned( i, 2 ) ); for j in 0 to 15 loop A <= std_logic_vector( to_unsigned( j, 4 ) ); for k in 0 to 15 loop B <= std_logic_vector( to_unsigned( k, 4 ) ); wait for 20ns; end loop; end loop; end loop;